Fix case where port is missing

Forward port of 6d3b5b24fd to master
This commit is contained in:
Vincent Petry 2014-02-25 11:22:53 +01:00 committed by Vincent Petry
parent 5fb1374b0f
commit 432a42d846
1 changed files with 1 additions and 1 deletions

View File

@ -59,7 +59,7 @@ class OC_Request {
if (isset($_SERVER['HTTP_HOST'])) {
$host = $_SERVER['HTTP_HOST'];
}
if (isset($_SERVER['SERVER_NAME'])) {
else if (isset($_SERVER['SERVER_NAME'])) {
$host = $_SERVER['SERVER_NAME'];
}
}